Skip to content
BY-NC-ND 3.0 license Open Access Published by De Gruyter June 17, 2017

Tipping solutions: emerging 3D nano-fabrication/ -imaging technologies

  • Gediminas Seniutinas EMAIL logo , Armandas Balčytis , Ignas Reklaitis , Feng Chen , Jeffrey Davis , Christian David and Saulius Juodkazis EMAIL logo
From the journal Nanophotonics

Abstract

The evolution of optical microscopy from an imaging technique into a tool for materials modification and fabrication is now being repeated with other characterization techniques, including scanning electron microscopy (SEM), focused ion beam (FIB) milling/imaging, and atomic force microscopy (AFM). Fabrication and in situ imaging of materials undergoing a three-dimensional (3D) nano-structuring within a 1−100 nm resolution window is required for future manufacturing of devices. This level of precision is critically in enabling the cross-over between different device platforms (e.g. from electronics to micro-/nano-fluidics and/or photonics) within future devices that will be interfacing with biological and molecular systems in a 3D fashion. Prospective trends in electron, ion, and nano-tip based fabrication techniques are presented.

PACS: 81.07.-b

1 Introduction

Manufacturing can be discussed as the development of new methods to change and assemble materials as well as the creation of additional means for those functions. Computing advancements have become a driving force for modern computer numerical control (CNC) of mechanical tools, which operate in an intricate manner in all three-dimensions (3D) and fabricate complex workpieces at high throughput. Another rapidly growing area of technology is 3D printing, in which the use of different materials, control of their thermal/optical/mechanical properties, and handling procedures are delivering unique and specially tailored 3D structures. Both subtractive and additive manufacturing are now reaching sub-100 μm resolution, with 3D printing particularly entering the realm of cell-size resolution (~20 μm), using bio-compatible and bio-degradable materials that are required for bio-medical applications. Advances at this size and resolution are critical prerequisites for interfacing with other fabrication methods operating at 1 μm precision, as well as true nanotechnology approaches that are commonly defined by the 100-nm resolution benchmark. Furthermore, in recent years, the rapidly growing field of two-dimensional (2D), graphene-inspired, atomically flat, and thin materials has advanced as a result of broader availability of such materials in macroscopically large areas and improved handling techniques [1], [2]. Photonics is one of the main beneficiaries of the development of 3D fabrication methods, which are capable of producing sub-wavelength feature sizes [3].

Focused ion beam (FIB) is one of the premier examples of a nano-fabrication technique with an inherent 3D structuring capability. FIB is widely used in the fabrication of 3D nano-/micro-cantilevers and of devices with complex photonic functionalities. On the one hand, chiral structures for photonic and opto-mechanical applications aiming at the manipulation of 3D objects using torque and force delivered by light, which carries an angular momentum (AM), are pursued using direct FIB milling or focused ion/electron beam-induced processes. On the other hand, analogous micro-optical elements can be used to generate 3D structured light carrying a designated spin-AM (SAM) and orbital-AM (OAM), e.g. an optical vortex [4], [5]. The 3D shaping of light as well as 3D shaping of matter at the nanoscale is demonstrated using state-of-the-art FIB tools where milling is delivered by Ga, Si, and Au ions with resolution down to 10 nm and aspect ratios of up to 10. Direct modification of materials enabled by FIB also has wide applications in nanoscale characterization. Apart from making cross-sections, mechanical manipulator-equipped FIB systems are used in preparing TEM lamellas [6]. However, even recently, the slow rate of FIB slicing and alteration of samples are listed as future development needs in bio-applications of FIB [7].

To achieve uncompromised 3D nano-sculpturing of materials, deep-UV co-illumination is used during FIB. The photo-electric effect delivers rapid charge compensation during ion milling or electron imaging. Further, additional material contrast is provided and is useful for nanometer-resolution electron imaging without metal coating. This “optical coating” [8] by deep-UV is expected to advance nanoscale lithographic fabrication. Another emerging nano-lithography technique reviewed here uses a heated atomic force microcopy (AFM) tip [9], [10], [11]. Its primary advantage is its ability to completely avoid ionization damage to substrates while offering a 10-nm resolution that is on par with conventional electron beam lithography (EBL).

In order to achieve micro-fabricated 3D structures with nanoscale functionality and the capability to interface with cell membranes and receptors, fully 3D functional fabrication methods are required. Hence, the current mini-review focuses on these topics and provides an overview of newly reactivated trends for nanoscale fabrication and imaging.

2 Towards 3D nano-fabrication

Fabrication requires the input of energy as it involves the addition, modification, or removal of material in a controllable manner. The area/volume of material affected depends on energy localization in space and time; hence, the achievable structuring resolution relates to the mechanism of energy delivery. Mechanical and chemical approaches have been widely utilized in macro-scale manufacturing, e.g. drilling/milling, water jets, chemical treatments for material removal. To push resolution limits to the nanoscale, advancements in lasers and optical microscopy were combined and evolved into microscale 3D fabrication techniques, where photons of a wavelength λ can be focused into a diffraction-limited spot size of ~λ/2, and controlled at timescales of a few femtoseconds. Such energy delivery has a broadly appreciated flexibility in energy deposition and reaches sub-wavelength structuring in 3D through several processes: formation of new phases of materials [12], [13], precise ablation [14], [15], [16], and/or polymerization [17], [18]. More advanced laser fabrication methods can reach sub-micron resolution by exploiting nonlinear processes, such as multiphoton polymerization [19], [20], [21] and stimulated emission depletion techniques [22], [23]. A variety of laser-made structures and their applications have been summarized in reviews covering the topic [24], [25]. By using critical point drying (CPD), which allows the elimination of capillary forces in the wet development of laser exposed polymers, it is now possible to reliably fabricate 3D structures with 100 nm structural units in 3D, as demonstrated for photonic crystals [26]. Another recent example, in which focused ultra-short laser pulses are used is controllable formation of defects inside the volume of crystals to engineer single photon emitters [27] or to tailor the photo-conductivity of dielectrics for the THz band [28], [29]. However, versatile 3D material shaping at the nanoscale of 1−100 nm using 3D-confined light absorption as the energy source is still a highly challenging task for practical applications.

3 Ion- and electron-based nano-fabrication techniques

3.1 Limits of material modification/damage

Accelerated high ~10−100 keV energy electrons and ions have small de Broglie wavelengths λB=h/p, where h is Plank’s constant, and p=mv is the momentum of a particle with mass m traveling at speed of v. However, focusing of charged particles using electro-static or electro-magnetic lenses is not a trivial task, unlike in optics where photons of the wavelength λ are easily delivered to a focal spot size down to the diffraction limited resolution. The best performance of electron and ion focusing is achieved when single particles are traversing the lens region. As a result, currents in the range of a few-pA are typically used in the case of high-resolution EBL and FIB exposures. However, substantial exposure doses from tens to several thousands of μC/cm2 must be accumulated depending on the resists used and the process itself. At the moment, low-current serial exposures bring throughput limitations to EBL and FIB patterning; nonetheless, multibeam systems are being developed to overcome these constrains and to offer high-resolution large-area exposures within reasonable timeframes [30], [31].

High-energy electron beams, which are focused down to a spot that is several nanometers in size, may cause local heating, electrostatic charging, and material structural damage [32], [33], [34]; these alter the sample properties and may reduce the performance of the final device. The level of introduced defects depends on the beam energy, accumulated dose, and scattering cross-sections of the accelerated particles. For narrow, few-nm, gaps in bow-tie antennas [35], electron hopping between defects induced by high-energy electrons during EBL resist exposure can effectively short-circuit two antenna segments, even at the optical frequencies [35]. In the case of ion beam exposures, structural defects are introduced along with the implantation of incident heavy ion species.

In the case of micro/nano-electronics, high-resolution EBL exposures are required to realize transistor gate lengths of a few nanometers. The exposure doses and electron acceleration voltages then enter a regime of energy densities wherein substrate damage (below the resist) occurs. For example, the metal-oxide-semiconductor field effect transistors are being made using moderate (10 keV) accelerating voltages to avoid material damage caused by high-energy electrons [36], [37]. This approach reduces the achievable resolution and brings limitations on the downscaling trend of microelectronics, which is trying to reach faster transistor operation with a nanometer sized gates. Two-dimensional (2D) materials, such as graphene, are also being explored for possible electronic applications; however, patterning is challenging as the electron beam irradiation alters their electrical properties [38].

On a conceptual level, pulsed electron beams at extremely low temperatures can also be used to reduce the effect of repulsive Columbic interactions to improve their focusing and achieve high beam brightness and temporal resolution [39]. Such possibilities to create strongly directional electron bunches via strong E-fields using ultra-cold atoms is a rapidly evolving field [40].

Whatever strategy is used to achieve high-intensity (irradiance) by energy localization in time and/or space (area), the limitation is expected to come from the intrinsic threshold of material structural damage, which is largely defined by the binding energy. Some structural damages, e.g. an atom interstitial-vacancy pair, can be healed by thermal annealing. However, this is not an option for nanoscale devices due to low-temperature melting and the surface tension-driven nanoscale movement of surface atoms.

3.2 Focused electron beams: towards 3D nanostructures

Resist exposure using electron beams, that is, electron beam lithography (EBL), is the first technique exploiting an electron beam for nano-fabrication. Over the years, the electron beam instrumentation has progressed significantly and, at present, commercially available EBL systems can provide beam spot sizes below 5 nm in diameter [41], [42]. Nonetheless, the process is based on electron-sensitive material exposure, and patterning capability is highly dependent on the electron-matter interaction. Thus, the resist material and its sensitivity strongly affects the achievable resolution. Scattering due to elastic and inelastic interactions occurs when an electron hits a material. Scattered primary and generated secondary electrons both contribute to the resist exposure; hence, the affected area is larger than the beam spot size. Given that scattering is a complex phenomenon depending on a set of parameters, including the atomic numbers of the target atoms, the thickness of the resist, the substrate material, and energy of the primary electrons, statistical Monte Carlo simulations are typically performed to estimate trajectories of the scattered electrons inside the sample. These simulations help optimize patterning strategies, which provide higher structuring fidelity.

The penetration depth of electrons into a resist is highly related to the scattering mechanisms and can be extracted from Monte Carlo simulations; nonetheless it can also be approximated by considering only the electron beam energy E0 and density of the resist ρ [43]

(1)z[μm]=0.1E01.5[keV]ρ[g/cm2].

Electron beam energy in commercially available EBL tools ranges from a few keV in adapted scanning microscopy tools to 125 keV in state of the art systems [44], [45]; thus, penetration depth into the resist can vary from a few hundred nanometers to tens of micrometers.

Traditionally, electron beam exposures were used to define patterns in resist layers that are thinner than the penetration depth. Modification of the resist throughout its entire thickness can help reveal the underlying substrate. Depending on a resist type, the exposed areas can be removed (positive tone resist) or retained (negative tone resist) on a substrate during the development step, thus creating the exposed pattern. The pattern commonly serves as a mask for lift-off/etching procedures or is used as a template for nanoimprinting. Various kinds of resists have been developed to meet specific applications [46], [47] with the recent addition of silk [45], [48] as a bio-compatibile and bio-degradable material.

Thin layers of resist facilitate the achievement of smaller feature size as electron beam broadening due to the minimization of forward-scattering. A typical choice for high-resolution patterning is negative tone resist hydrogen silsesquioxane (HSQ), in which 5 nm half-pitch features have been demonstrated using aberration-corrected transmission electron microscope capable of delivering a 200 keV electron beam [49]. However, the thickness of HSQ was only around 10 nm, and the practical applications of such thin nanostructures are rather limited. The solutions for high aspect ratio but still nanoscale resolution structures involve the stacking of a few resist layers [50], [51] or a combination of EBL exposure of thicker resist, together with atomic layer deposition (ALD) technique, as demonstrated in fabrication of zone plates [52], [53]. Stacking of resist layers allows the creation of arbitrary 3D topography of the resist given that different patterns can be exposed in each layer [51]. Figure 1 shows examples of structures made by stacking three layers of HSQ resist. The achievable pattern overlay accuracy is below 2 nm [50]. Similar high-aspect ratio structures found applications as molds for electroplating [54], [55], [56] and preparation of nickel stamps [57]. Nonetheless, overlay exposures for constructing topographical resist surfaces involve many processing steps and are time consuming.

Figure 1: SEM images of structures obtained via overlay exposures: (A) a stacked zone plate made by electroplating [50], (B−E) examples of arbitrary shaped 3D HSQ structures [51].Reprinted with permission from Ref. [50] and [51]. Copyright 2014 Springer, 2011 American Vacuum Society.
Figure 1:

SEM images of structures obtained via overlay exposures: (A) a stacked zone plate made by electroplating [50], (B−E) examples of arbitrary shaped 3D HSQ structures [51].

Reprinted with permission from Ref. [50] and [51]. Copyright 2014 Springer, 2011 American Vacuum Society.

An alternative route to create 3D topography in a thick resist layer is the exploitation of electron penetration depth dependence on the beam energy (Eq. 1). For example, a blazed elliptical grating was fabricated in 1 μm thick poly(methyl methacrylate) (PMMA) by varying the electron beam energy from 1.8 eV to 5 eV during the exposure [44]. Gray-scale EBL patterning can also be obtained by using a fixed accelerating voltage and by locally changing the exposure dose. Given that the molecular weight M of the resist changes during the e-beam irradiation [58], modulating the lateral distribution of M by controlling the deposited dose is now possible. During development, the resist is immersed into a solution with etching selectivity depending on M; thus, variation of M is revealed as resist height modulation [59], [60], [61]. The dose is usually changed in steps; hence, the resist profile between adjacent dose variations also changes in steps and forms a staircase-shaped slope. However, most applications, especially in optics, prefer gradually curved 3D surfaces. A simple way to produce smooth 3D surfaces has been demonstrated by Schleunitz and Schift [62]. In their work, they heated up the patterned resist and observed that the steps were smoothed due to thermal reflow. Figure 2 illustrates temperature-induced changes of a stepped pyramid; as can be seen, the steps completely disappeared when the glass transition temperature of the resist had been reached (~120°C). The same authors have also transferred the obtained 3D topography pattern into silicon by reactive ion etching (Figure 2G).

Figure 2: A stepped pyramid obtained via grayscale EBL exposure of PMMA, which has the glass transition temperature of ~120°C.The shape of the pyramid structure after heating up to different temperatures: (A) 25°C, (B) 100°C, (C) 110°C, (D) 115°C, (E) 120°C, and (F) 140°C; (G) a resist profile transferred into silicon using reactive ion etching [62]. Reprinted with permission from Ref. [62]. Copyright 2010 Institute of Physics.
Figure 2:

A stepped pyramid obtained via grayscale EBL exposure of PMMA, which has the glass transition temperature of ~120°C.

The shape of the pyramid structure after heating up to different temperatures: (A) 25°C, (B) 100°C, (C) 110°C, (D) 115°C, (E) 120°C, and (F) 140°C; (G) a resist profile transferred into silicon using reactive ion etching [62]. Reprinted with permission from Ref. [62]. Copyright 2010 Institute of Physics.

Even though, in principle, EBL allows the fabrication of topographically shaped surfaces, the nature of planar resist exposure brings with it extreme challenges when free-standing 3D structures, such as spirals, buckyballs, etc., need to be made. The stacking approach has been used as a solution to create 3D photonic crystals composed of amorphous silicon and air cavities [63] or dielectric fillings [64]. However, to employ this method, a 3D structure must first be decomposed into horizontal 2D layers that will then be stacked together. The fabrication of each layer involves overlay exposures, lift-off, and reactive ion-etching techniques. Moreover, the surface must be planarized before coating consecutive layers to ensure conformal resist distribution. The spatial resolution in vertical direction is directly related to the number of layers used to make a structure; hence, an increased number of layers yields smoother slope profiles. However, it also increases the number of processing steps and might introduce geometrical inaccuracies due to multiple alignment steps. Being such a complex and time consuming procedure, 3D stacking faces material compatibility issues and usually fails when various materials need to be interlaced/incorporated to construct free-form 3D structures.

3.2.1 Electron beam-induced processes

Direct writing methods are superior for freeform 3D patterning, because structures can be created in situ and no post-processing steps, such as resist development or pattern transfer, are required. Aside from the development of EBL technique, significant research efforts have also focused on high-resolution “resistless” structuring. Focused electron beam-induced chemical reactions are currently being explored as a platform for direct writing at the nanoscale. The reactions can be controlled with nanoscale precision owing to a nanometer-sized focal spot of the electron beam that acts as an initiator.

As precursors for the reactions, various gases can be introduced into an electron beam chamber and guided to the reaction area via gas injection systems. The electron beam selectively irradiates precursor molecules adsorbed on the surface, and then induces chemical reactions that are determined by the reactive species. As a result, non-volatile products on the surface can be created, or the species can react with the substrate-forming volatile compounds, thus etching the substrate away [65]. These processes resemble additive and subtractive manufacturing at the nanoscale, and are known as focused electron beam-induced deposition (FEBID) and etching (FEBIE), respectively. The strong point of FEBID and FEBIE is their ability to perform real-time process monitoring, which enables the in situ correction of patterning parameters to compensate for the deviations. The process is also highly flexible as the effect of the induced chemical reaction depends on precursors; thus, deposition and etching can be performed on the same sample without taking it out of the chamber. For example, local etching of oxide layers can be done [66] to reveal conducting structures underneath and then electrical contacts as well as wiring of different components can be performed using FEBID. Moreover, the electrical properties of the fabricated devices can be characterized using micromanipulators and probes installed in the SEM chamber without breaking the vacuum. This reduces the number of processing steps required to make a device and enables fast prototyping.

Despite its inherent 3D fabrication capability, FEBID has been mostly used to make planar structures, such as formation of electrical contacts [67], [68], [69] or repair of photolithography masks [70]. This restriction arose mostly due to the complexity of the deposition process as it involves many parameters. Even relatively simple 3D structures, such as spirals (Figure 3A), require extensive process optimization. Nonetheless, improved understanding of the processes and numerical simulations has recently enabled reliable and fully 3D structuring by FEBIE [72]. The developed simulations take into account distribution of the precursor as well as electron interaction profiles to predict the growth of complex 3D objects, for example, a cubic frame or a buckyball (Figures 3B and C, respectively).

Figure 3: SEM images of free-form 3D structures fabricated by FEBID: (A) a nanospiral [71], (B) a cubic frame, and (C) a buckyball [72].Reprinted with permission from Ref. [71] and [72]. Copyright 2013 Macmillan Publishers Ltd, 2016 American Chemical Society.
Figure 3:

SEM images of free-form 3D structures fabricated by FEBID: (A) a nanospiral [71], (B) a cubic frame, and (C) a buckyball [72].

Reprinted with permission from Ref. [71] and [72]. Copyright 2013 Macmillan Publishers Ltd, 2016 American Chemical Society.

As mentioned previously, deposition can be turned into etching just by changing the precursor supplied to the chamber. The chemical nature of material removal by FEBIE causes minimal influence/damage to the surrounding material. This is especially important where material properties have to be preserved during the nanostructuring, such as in optoelectronics or quantum photonics. Quantum emitters are gaining increased interest due to their potential in quantum computing and information encryption. As the quantum emission usually originates from point defects in wide bandgap materials, it is extremely important that processing steps would not introduce any additional defects or quench the emitting sites. Past studies demonstrated that H2O-mediated FEBIE is a highly suitable technique to directly pattern diamonds [73], [74], [75] and hexagonal boron nitride [76] – materials that host most promising point defects for single photon emitters (Figure 4).

Figure 4: Direct writing by H2O mediated FEBIE: (A) SEM image of a single diamond micro-particle; (B) schematic illustration of direct write FEBIE process, each letter of NANO was written individually on three different 111 faces of a diamond; (C) SEM image of the microparticle shown in (A) after the letters NANO were patterned by FEBIE. [75]; (D) and (E) SEM images of symbol “UTS” and lines etched through a hexagonal boron nitride flake, darker regions represent etched away areas [76].Reprinted with permission from Ref. [75] and [76]. Copyright 2014 Macmillan Publishers Ltd, 2016 The Royal Society of Chemistry.
Figure 4:

Direct writing by H2O mediated FEBIE: (A) SEM image of a single diamond micro-particle; (B) schematic illustration of direct write FEBIE process, each letter of NANO was written individually on three different 111 faces of a diamond; (C) SEM image of the microparticle shown in (A) after the letters NANO were patterned by FEBIE. [75]; (D) and (E) SEM images of symbol “UTS” and lines etched through a hexagonal boron nitride flake, darker regions represent etched away areas [76].

Reprinted with permission from Ref. [75] and [76]. Copyright 2014 Macmillan Publishers Ltd, 2016 The Royal Society of Chemistry.

Electron exposure can also be used to facilitate surface re-flow via surface tension induced transport controlled by exposure dose [77]. This was applied to patch an opening in Si3N4 membrane cut by Ga-ion ion beam (Figure 5). Such resizing by electron exposure is a highly controlled process and can be utilized for nanoscale modifications of holes in thin 10–100 nm membranes [78].

Figure 5: A cut-through Si3N4 membrane (left) was patched by 5 keV electron beam exposure (right) [78].Thickness of membrane was 30 nm, e-beam scan was carried out at 5 keV.
Figure 5:

A cut-through Si3N4 membrane (left) was patched by 5 keV electron beam exposure (right) [78].

Thickness of membrane was 30 nm, e-beam scan was carried out at 5 keV.

3.3 Focused ion beams

FIB milling has become a highly established technique to prepare cross-sectional slice lamellas for high-resolution transmission electron microscopy (TEM) [6], [7]. The popular realization of FIB tools are arranged in a dual-beam configuration, i.e. an electron column is added to image the FIB fabrication region using the standard SEM technique. High-resolution imaging is also possible using a focused He-ion beam [79], [80].

The nano-fabrication of micro-optical elements, magnetic, fluidic, and MEMS devices is a new and rapidly evolving field of FIB applications. This can also preform resist exposure, hence acting as a substitute for EBL functionality to a certain extent. Recently, the availability of multi-species ion sources [81], which can select particular single or double ionized Si or Au, opened new possibilities in the field of nano-fabrication [82]. At the same acceleration voltage, ~35 keV milling rate is proportional to the atomic mass; hence, milling rate is increasing from Si to Ge and Au ion species. Furthermore, doubly charged ions are more strongly stopped in the target, and this can be used to deposit them at depths of a few nanometers. FIB also offers functionalities of imaging, material removal, and deposition (Figure 6). Neon ions were introduced for a low-fluence, high-resolution lithography, reaching 7 nm half-pitch feature sizes with 1 ion/nm2 dose, at which a ~103 times more efficient fabrication was achieved compared with standard Ga-ion 30 keV exposure [84].

Figure 6: SEM images. (A) Ga+ FIB milling of indium tin oxide (ITO) layer coated on a glass substrate: implantation (darker regions) and material removal (white).(B) An FIB-milled phase plate (at different magnification and view angle) of a hologram to project the left half section of the logo image above (marked by a dashed square); courtesy Gervinskas [83].
Figure 6:

SEM images. (A) Ga+ FIB milling of indium tin oxide (ITO) layer coated on a glass substrate: implantation (darker regions) and material removal (white).

(B) An FIB-milled phase plate (at different magnification and view angle) of a hologram to project the left half section of the logo image above (marked by a dashed square); courtesy Gervinskas [83].

Fabrication of micro-optical elements is another emerging application benefiting from a long depth-of-focus (tens-of-micrometers) in FIB, which provides high tolerance for fabrication on 3D surfaces, e.g. micro-lenses [85] and milling high-aspect ratio holes [86]. Focusing of electrons and ions into tens-of-nanometer spot size still has a long depth of focus, e.g. a ~20 μm length for 50 keV energy electron beam [87]. Holes and groves milled through a layer of gold or other optically opaque surface were used to construct metasurfaces with the desired functionality, such as generation of optical vortex [88]. Figure 7 shows a high-aspect-ratio ~10 groove milled into a 220-nm-thick Au film using focused Ga+ ion beam.

Figure 7: High-aspect ratio FIB cut [89].SEM image of a 45°-tilted 20-nm-wide groove milled into a 220-nm-thick sputtered Au film on a glass substrate. The substrate was sliced to reveal the side view of the cross-section.
Figure 7:

High-aspect ratio FIB cut [89].

SEM image of a 45°-tilted 20-nm-wide groove milled into a 220-nm-thick sputtered Au film on a glass substrate. The substrate was sliced to reveal the side view of the cross-section.

The resolution of direct FIB milling is strongly dependent on the ion lateral distribution in the beam. Given that the sputtering rate is higher for higher ion incidence angles, low intensity beam tails can result in significant material removal when particles hit a surface at higher angles. For this reason, even low-intensity peripheral sections of an ion beam can lead to the deterioration of fabrication fidelity, especially for densely packed structures, where these beam tails overlap.

Figure 6B shows a phase mask with the apparent departure of the pixel phase from the intended fixed depth, especially when large phase jumps between the adjacent pixels had to be made. Milling of closely spaced grooves into the surface of a metal film can be used to estimate the width of the central part of the ion intensity distribution and side lobes [89]. It is critical to have the narrowest central part of ion beam intensity within 10 nm at 1/e2 for close proximity milling. Figure 8 shows ~20 nm grove-width chiral patterns inscribed into ~50-nm-tall gold nano-disks. The crossing region of the nano-grooves still has a high resolution due to the narrow ion intensity distribution [91], [92].

Figure 8: SEM images of chiral Au nanoparticles made by combined EBL with lift-off and FIB milling [90].Charging of surface during SEM imaging, which causes bright artifacts and horizontal streaks.
Figure 8:

SEM images of chiral Au nanoparticles made by combined EBL with lift-off and FIB milling [90].

Charging of surface during SEM imaging, which causes bright artifacts and horizontal streaks.

One of the key drawbacks of using energetic ions for the fabrication of nano-photonic devices, especially nano-electronic devices, are the defects induced in a material by knock-on cascades. In addition to modifying the electronic properties of a given material, ion beam-induced defects are known to introduce mechanical distortion through a thermal-spike-induced stress field, which facilitates atomic mass transport [93]. However, when an ion beam irradiates a metallic or dielectric membrane, cantilever, or nanowire, the aforementioned plastic deformations can be harnessed for the creation of 3D structures. Important examples of this principle involve the bending of single-carbon nanotubes [94], peptides [95], and the fabrication of complex 3D metamaterials with unique Fano resonance plasmonic properties [96].

3.3.1 Masking via ion implantation

The shallow implantation of Ga+ can be used to form a hard mask for subsequent reactive ion or inductively coupled plasma (RIE-ICP) etching as demonstrated for diamond nano-patterning [97], [98]. Figure 9 illustrates this functionality. The technique is very promising for the straightforward fabrication of masks for plasma etching, as recently demonstrated with EBL for Si micro-thin solar cells that have absorbance that is close to unity over the entire visible spectrum [99]. Figures 9A and B show a similar geometry made by the Ga-ion mask directly written on Si.

Figure 9: Representative SEM image of an array of FIB irradiated areas at Ga+ ion doses left to rigtht (A) 200−20 μC/cm2 and (B) 20−1 μC/cm2, after RIE-ICP etch. (C) shows Swin logo made with optimal Ga+ dose (100 μC/cm2).ICP-RIE etching was performed in a 1 Pa pressure of SF6/O2 plasma at 150 W ICP power with no bias voltage applied.
Figure 9:

Representative SEM image of an array of FIB irradiated areas at Ga+ ion doses left to rigtht (A) 200−20 μC/cm2 and (B) 20−1 μC/cm2, after RIE-ICP etch. (C) shows Swin logo made with optimal Ga+ dose (100 μC/cm2).

ICP-RIE etching was performed in a 1 Pa pressure of SF6/O2 plasma at 150 W ICP power with no bias voltage applied.

One of the applications of such mask implantation was demonstrated in the fabrication of graphene ribbons defined by the FIB-made mask on SiC [81]. Silicon sublimation from the surface of SiC implanted with Au and Si ions takes place at lower temperature; thus, in these regions the graphitization temperature is reduced from 1300°C to 1200°C [100].

One of the promising directions of FIB technology is the well-controlled milling of complex patterns for micro-optical, opto-mechanical, and imaging applications. Figure 10 shows a heavy metal Au screen for the diffraction and image reconstruction experiments for ultra-short high-harmonics laser pulses. The thin membrane of Si3N4 was used as a support layer with 200 and 50 nm of Au sputtered from both sides with subsequent milling through from one side of the sample.

Figure 10: SEM image: milling through the Au films and Si3N4 nano-membrane with Ga+ ions.Image: courtesy of Pierrette Michaux.
Figure 10:

SEM image: milling through the Au films and Si3N4 nano-membrane with Ga+ ions.

Image: courtesy of Pierrette Michaux.

3.3.2 Faraday cage angled-etching

One method to form 3D nanostructures by ion etching is to change an etching angle in the parallel plate RIE-ICP using a Faraday cage [101]. Combining a Faraday cage with masks prepared by various nano-lithography methods makes it possible to fabricate nanoscale features at an angle to the surface (following electrical field reshaped by the profile of the Faraday cage). Due to the parallel character of surface processing, such a method provides a distinct throughput advantage compared with direct writing by FIB with sample tilting [86].

Recently, researchers have begun to reconsider the venerable Faraday cage angled-etching (FCAE) technique [101] as it has proven itself to be suitable method to adjust etch angle during dry plasma etching [102]. This method was used to produce free-standing cantilievers, ring resonators [103], and other photonic nanostructures [104] in silicon [102], [103], diamond [104] or even quartz [103]; thus, FCAE should work virtually on any material that can be etched by RIE. A schematic representation of FCAE setup and several nano-fabrication examples are shown in Figure 11.

Figure 11: Schematic illustrations of (A) the conical Faraday cage used to carry out angled plasma etching (B) of the substrates. SEM images of (C) undercut various diameter microdisks; (D) ~500-nm wide nanoring structure; (E) ~500-nm wide curved and (F) ~750-nm wide spiral nanobeams; and (G) ~1-μm wide nanobeam cantilevers.All SEM images were taken at a 60° stage tilt. Scale bars correspond to 5 μm [104]. Reprinted with permission from [104]. Copyright 2012 American Chemical Society.
Figure 11:

Schematic illustrations of (A) the conical Faraday cage used to carry out angled plasma etching (B) of the substrates. SEM images of (C) undercut various diameter microdisks; (D) ~500-nm wide nanoring structure; (E) ~500-nm wide curved and (F) ~750-nm wide spiral nanobeams; and (G) ~1-μm wide nanobeam cantilevers.

All SEM images were taken at a 60° stage tilt. Scale bars correspond to 5 μm [104]. Reprinted with permission from [104]. Copyright 2012 American Chemical Society.

3.3.3 Ion beam induced processes

Similarly, to direct electron beam write techniques – where locally induced chemical reactions are used to form 3D structures on a sample’s surface – FIB systems can also be used in order to perform nanoscale 3D deposition [105]. One of the main IBID advantages over the FEBID is a higher interaction probability resulting in a much higher deposition rate. The main IBID drawback; however, compared with FEBID is the difficulty to monitor the deposition process in situ. Despite the fact that the angular spread of secondary electrons is larger in IBID, thus resulting in lower spatial resolution than FEBID, recent breakthroughs in He ion systems [65], [106] resulted in the demonstration of the world-record spatial deposition resolution combined with in situ monitoring capability. Figure 12 depicts 3D structures fabricated via He-IBID. As the precursor to the irradiation area is supplied from only one side, shadowing effects become prominent for tall structures; hence, the patterning strategies must be optimized to avoid these effects. IBID can be put to great use because it facilitates nanoscale conductive bonding using platinum, cobalt, and tungsten [108]. This, in turn, is useful for electrical connection and wiring of nano-scale opto-electronic devices.

Figure 12: 3D deposition by He-IBID (110): crosses formed by two successively He-ion IBID grown rows of pillars with 75 nm (A), 50 nm (B), and 20 nm (C) separation.The PQ-direction was deposited first; the arrow indicates the direction of the MeCpPtMe3 precursor flux. The height of R arm is lower due the shadowing effects. Reprinted with permission from [107]. Copyright 2012 John Wiley and Sons Inc.
Figure 12:

3D deposition by He-IBID (110): crosses formed by two successively He-ion IBID grown rows of pillars with 75 nm (A), 50 nm (B), and 20 nm (C) separation.

The PQ-direction was deposited first; the arrow indicates the direction of the MeCpPtMe3 precursor flux. The height of R arm is lower due the shadowing effects. Reprinted with permission from [107]. Copyright 2012 John Wiley and Sons Inc.

Despite the fact that FIB tools can be used as direct milling machines without a need for reactive gases, IBIE is also widely used to further augment the rate of material removal. IBIE finds prominent use in the editing of connections in integrated circuits, where – in addition to a much higher milling rate – the introduction of reactive gasses helps mitigate ion implatation and redeposition effects, which present a severe limitation to direct ion beam milling [109]. A recent work showed that laser-assisted IBIE can increase etching yield even further, thus expanding the applicability of the technique [110].

4 Fidelity of nanoscale imaging

In dual-beam FIB, where 3D workpiece structuring by ion beam (usually Ga+) is monitored by electron imaging via SEM, surface charging can cause a loss of fidelity in the realization of the designed pattern during milling or a SEM imaging could misrepresent the appearance of the real 3D structure. To tackle this surface charging problem, the cause of distortions in both (FIB fabrication and SEM imaging) should be resolved.

4.1 Focused helium ion beams for 3D nano imaging

Focused helium ions have been utilized to achieve high-resolution 3D imaging of biological systems, such as cells and tissue sections. When helium ions enter the sample, they lose their energy through ion-electronic interaction (inelastic scattering) and ion-nucleus elastic collisions. The generated effects include secondary electrons and ion-induced luminescence, both of which can be applied to high-resolution imaging. Compared with electron beams, the helium ions possess a larger mass with shorter de Broglie wavelength, which allows the production of sub-nanometer sized beam spots. In addition, given that the helium ions are positively charged, the surface charges can be neutralized through the use of an electron flood gun; therefore, the sample surfaces can be imaged without conductive metallic coating. Based on these features, scanning transmission ion microscopy (STIM) has been widely used to identify and image cellular structures [111], [112].

According to the energy, the helium ions are classified as slow (10−100 keV) or fast (1−2 MeV). Slow helium ions can be focused to sub-nm scale (~0.25 nm), which enables the creation of a smaller focus spot on the sample surface for super-resolution [113]. The shortcoming of slow helium ion beams is the limited penetration depth inside the material, and it also suffers large-angle scattering within the sample. Fast helium ions with an energy of 1−2 MeV can pass through the cell sample, the energy loss within the cell is mainly from the electronic ionization, in which the scattering is minimal with minimal effect on the spatial resolution of images. However, the high-energy helium ion beams can be focused to somewhat less than 30 nm diameter.

To realize the 3D imaging of whole cell at nanometer resolution, one solution is to combine STIM with 3D fluorescence imaging. To visualize the cells, suitable fluorescent probes must be incubated inside the cells. For ion beam-induced fluorescence, recently lanthanide-doped nanocrystals (e.g. LaPO4 or NaYF4) have shown the capability to be excellent probes. The mechanisms can be ion beam-induced photoluminescence or upconversion. For example, with incubated NdYF4:Yb/Tm nanoparticles, Mi et al. reported imaging resolution of 28 nm for cellular structures, which was significantly beyond what was attained (~253 nm) by using a 980 nm laser optical probe [114].

An alternative solution to achieve 3D high-resolution imaging of cells is to combine a few nuclear techniques. Given that the scattering of helium ions is very sensitive to the mass of the target atoms (particularly for high-atomic- number (ions), incubating heavy ion nanoparticles (NPs) inside the sample is needed. Chen et al. reported on the 3D whole-cell imaging of gold nanoparticle in cell biological system through the combination of STIM for imaging the cell structure [79], forward STIM for lateral image of gold NPs, and Rutherford backscattering spectrometry (RBS) for depth profiles of gold NPs. The spatial resolutions of STIM and RBS are ~25−30 nm and 200−300 nm, respectively.

4.2 Surface charge removal by deep-UV illumination

Nanoscale imaging at high-resolution reveals new phenomena and unusual material properties. For this purpose, scanning probe or focused ion/electron beam techniques are the first choice in characterization. Among them, scanning electron microscopy (SEM) has become a technique of immense popularity over a wide field of scientific applications as it can provide resolutions down to a few nanometers using field-emission electron guns. Nonetheless, due to charge build-up, challenges arise when imaging insulating, composite, layered, and 3D-nanoscale materials, which distorts the image (tricks the observer). To reduce surface charging, low beam currents and a few nanometer thick highly conductive metal layers are used. Yet, the drawback of the low current is a long image acquisition time to compensate for the diminished signal/noise ratio, as the sample might move or change during this period, resulting in a distorted image. The conceptual problem with the metal coating is that it conceals the sample features that it aims to reveal. Furthermore, coated samples can hardly be used in further processing steps after imaging as the coatings cannot be easily removed. One alternative is to use low vacuum imaging mode, but in this case, imaging resolution is worsened due to additional electron scattering by chamber gasses.

How can imaging at the nanoscale be achieved without distortions and aberrations? A novel approach to control surface charging in SEM via the photoelectric effect was proposed and demonstrated recently [8]. The technique was used previously to eliminate surface charging in high-precision FIB fabrication [115] and uses deep-UV co-illumination during SEM imaging. Photons of the deep-UV light (~260 nm wavelength) have sufficient energy to liberate electrons from the sample surface reducing strong charge gradients. In addition, the method provides a new material-dependent contrast modality in SEM. Under the deep-UV illumination, secondary electrons experience different electrostatic barriers around disparate work function materials, thus resulting in enhanced contrast. Figure 13 demonstrates the contrast enhancement caused by deep-UV photons. As most optical materials are insulators, the demonstrated technique can strongly advance photonics research where nanoscale 3D structures are being investigated. Instead of the metal coating for electron/ion imaging, the newly introduced “coating by light” is carried out in situ inside the microscope and substantially speeds up characterization. The popularity of SEM makes this solution for enhanced imaging accessible across numerous different fields of science and applications.

Figure 13: SEM images of titanium, aluminium and gold structures taken without (A) and with (B) deep-UV illumination [8].The work functions are: 5.1−5.47 eV for Au, 4.33 eV for Ti, 4.06−4.26 eV for Ti, ~4.68 eV for PMMA resist residue; the used photon energy was 4.8 eV (~260 nm wavelength).
Figure 13:

SEM images of titanium, aluminium and gold structures taken without (A) and with (B) deep-UV illumination [8].

The work functions are: 5.1−5.47 eV for Au, 4.33 eV for Ti, 4.06−4.26 eV for Ti, ~4.68 eV for PMMA resist residue; the used photon energy was 4.8 eV (~260 nm wavelength).

4.3 Nano-tip for novel imaging approaches

Breakthroughs in imaging from tens-of-nanometers to sub-wavelength (and sub-cellular), dimensions even at IR and terahertz spectral bands, were achieved using absorption [116] or scattering [117] from nano-tips. The absorption technique is better suited for the characterization of organic absorbing surfaces while scattering delivers high-fidelity imaging from metallic reflective surfaces and nanoparticles. Interpretation of data from the near-field requires further knowledge of probe-surface interaction, phase information of the reflected/transmitted light from sub-wavelength structures to reveal complex peculiarities of light-matter interactions at the nanoscale [118], [119], [120], [121], [122], [123]. Recently, electron tunneling control by a single-cycle terahertz pulse illuminated onto a tip of scanning tunneling electron microscope (STEM) needle was demonstrated at 10 V/nm fields [124]. STEM reached an atomic precision in surface probing and spectroscopic characterization, including that for water [125].

Nanoscale coherent imaging can be realized by combining high-harmonic generation (HHG) and coherent diffraction imaging (CDI) also named ptychography (Gr. to fold). The HHG is achieved using ultra-short high peak intensity pulses traveling through gas and producing ultra-short wavelength light – extreme UV (EUV). CDI is a lensless method that is based on phase reconstruction upon reflection and diffraction from 3D nanoscale structures [126].

If 3D nanoscale imaging could be taken for granted using electron-based scanning tunneling microscopy (STM), transmission electron microscopy (TEM), and SEM, the next advancements are expected with the addition of a 4th time dimension [127]. Nanoscale processes are fast and require ultra-short laser technologies to provide resolutions down to a single optical cycle.

5 Tip nano-writing

Tip-enhanced nanotechnologies are based or related to previously developed imaging techniques: scanning tunneling electron microscopy (STEM) and atomic force microscopy (AFM). A similar path was observed in photonics, where imaging microscopy evolved into a fabrication by direct laser writing. The AFM scanning probe technique can be used both as material deposition and removal tool with nano-scale precision and resolution [128]. One particular implementation of scanning nano-tip techniques is the thermal scanning probe lithography (t-SPL) [9], [10], [11]. The technique offers maskless direct writing and is based on decomposition of a resist [Polyphthalaldehyde (PPA)] into volatile monomers upon contact with a hot (~700°C) nano-sharp tip (Figure 14A). The heatable tip is scanned over the spin-coated resist and brought into the contact where material needs to be removed. The writing depth depends on a force applied to the tip; thus, modulation of the force during writing results in a topological pattern, and the depth control at 1 nm level has been demonstrated [129]. An endothermic decomposition of the resist prevents heat diffusion and facilitates high accuracy PPA patterning with 2D and 3D modes depending on its thickness. As the heating to the tip is supplied vie electrical current, once the writing step is finished and the current is terminated, the tip cools down to its initial temperature in a several microseconds and can be further used to image the surface as in AFM. This set-up enables high accuracy overlay writing. As an example, a 25-nm tall nanoscale replica of the Swiss mountain Matterhorn was made in a molecular glass via a layer-by-layer removal approach, where the material has been removed during 120 consecutive writing steps (5(b)). Although this is a nice demonstration of making nanoscale 3D structures, fabrication by overlaying is time consuming and inefficient. The throughput is increased then the entire structure is made in one step. Figure 14C shows a topography map of the world patterned into a 250-nm thick PPA layer. The pattern design consisted of 5×105 pixels having a pitch of 20 nm. The depth of each pixel was translated into the force of the tip during the scan and the entire structure was written in 143 s using a single patterning step.

Figure 14: Thermal scanning probe lithography (t-SPL): (A) tip used for 3D t-SPL patterning; close up TEM image in the inset; (B) AFM image of the mountain Matterhorn replica patterned into a molecular glass [9]; (C) AFM topography image of a 3D world map patterned into a 250 nm thick PPA layer [10]; (D) profile cross-section of the dotted line shown in (C), blue and red lines represent original data and the relief reproduction, respectively.Reprinted with permission from [9] and [10]. Copyright 2010 AAAS, 2010 John Wiley and Sons, Inc.
Figure 14:

Thermal scanning probe lithography (t-SPL): (A) tip used for 3D t-SPL patterning; close up TEM image in the inset; (B) AFM image of the mountain Matterhorn replica patterned into a molecular glass [9]; (C) AFM topography image of a 3D world map patterned into a 250 nm thick PPA layer [10]; (D) profile cross-section of the dotted line shown in (C), blue and red lines represent original data and the relief reproduction, respectively.

Reprinted with permission from [9] and [10]. Copyright 2010 AAAS, 2010 John Wiley and Sons, Inc.

Patterned PPA can be directly used as etch-mask due to its high glass transition temperature Tg>120°C and high mechanical stability [10]. The smallest half-pitch feature of ~18 nm for a pattern transfer into Si for up to 65-nm-deep trenches which only have an edge roughness of 3 nm [130], [131].

The unique capabilities of t-SPL are: (i) high-resolution patterning of complex geometries without wet development and proximity correction, (ii) in-situ imaging with the same tip before or after the patterning [132], [133], (iii) 3D patterning with ~1 nm vertical accuracy, (iv) overlay with high accuracy relative to structures buried under the resist [133], and (v) no damage of sensitive materials as in a charged particle beam. Particularly, the non-damaging character of the substrate, its CMOS compatibility, and 3D nanoscale capability makes t-SPL technique a promising approach for future nanotechnology and electronic applications.

6 Conclusions

The role of nanotechnology in various areas is expanding rapidly. Recent trends in “nano-enhanced” applications require 3D structuring with the nanoscale precision, and thus 3D nano-fabrication techniques are highly appreciated. Inkjet 3D printing has become a highly established technique reaching resolution down to tens of micrometers, and laser polymerization have pushed the limits further into sub-micron range. Laser nanostructuring emerged from optical microscopy (now called nanoscale characterization techniques), such as electron beam or atomic force microscopy, and follow similar development pattern. Although focused electron beam for high-resolution lithography has been demonstrated more than a half century ago, it has beens mainly used for defining planar structures. Nonetheless, advances in electron/ion beam chemistry, newly developed resists, and recent introduction of heated scanning probes now allow 3D structuring at the nanoscale. Focused electron/ion beam-induced etching, particularly deposition, enable the fabrication of fully 3D nanoscale structures, which can even be composed of different materials. However, one issue for nanoscale photonic and electrical devices is that the accelerated charged particles might introduce damage to the surrounding materials. The throughput of the focused beam techniques is currently limited due to serial exposure and low currents to reach highest resolution possible. Meanwhile, thermal scanning probe lithography has recently emerged as an alternative technique that offers comparable resolution but higher throughput and damage-free 3D surface structuring. However, the scanning probe is currently capable of creating only 3D topography on the surface, and the interlacing of different materials and fabrication of hollow structures using this technique has yet to be demonstrated. Nonetheless, all the described techniques have potential in becoming tipping point solutions for future nano-fabrication/technologies.

Acknowledgments

SJ acknowledges the partial support via the Australian Research Council Discovery project DP130101205 and DP170100131, and the startup funding of the Nanotechnology Facility given by Swinburne University. Window on Photonics R&D, Ltd. is acknowledged for its joint development grant and laser (nano)fabrication facility. IR was supported by the Research Council of Lithuania grant LAT–01/2016. GS and CD acknowledge funding from the EU-H2020 Research and Innovation program under Grant Agreement No. 654360 NFFA-Europe.

References

[1] Bonaccorso F, Sun Z, Hasan T, Ferrari AC. Graphene photonics and optoelectronics. Nat Photonics 2010;4:611–22.10.1038/nphoton.2010.186Search in Google Scholar

[2] Novoselov KS, Falko VI, Colombo L, Gellert PR, Schwab MG, Kim K. A roadmap for graphene. Nature 2012;490:192–200.10.1038/nature11458Search in Google Scholar PubMed

[3] Kuznetsov AI, Miroshnichenko A, Brongersma M, Kivshar Y, Luk’yanchuk B. Optically resonant dielectric nanostructures. Science 2016;354:aag2472.10.1126/science.aag2472Search in Google Scholar PubMed

[4] Brasselet E, Gervinskas G, Seniutinas G, Juodkazis S. Topological shaping of light by closed-path nanoslits. Phys Rev Lett 2013;111:193901.10.1103/PhysRevLett.111.193901Search in Google Scholar PubMed

[5] Hakobyan D, Magallanes H, Seniutinas G, Juodkazis S, Brasselet E. Tailoring orbital angular momentum of light in the visible domain with metallic metasurfaces. Adv Opt Mater 2016;4: 306–12.10.1002/adom.201500494Search in Google Scholar

[6] Sugiyama M, Sigesato G. A review of focused ion beam technology and its applications in transmission electron microscopy. J Electron Microsc 2004;5:527–36.10.1093/jmicro/dfh071Search in Google Scholar PubMed

[7] Narayan N, Subramaniam S. Focused ion beams in biology. Nat Methods 2015;12:1021–31.10.1038/nmeth.3623Search in Google Scholar PubMed PubMed Central

[8] Seniutinas G, Balčytis A, Juodkazis S. Optical and ultrasonic monitoring of femtosecond laser filamentation in fused silica. Appl Phys Lett: Photonics 2016;1:021301.10.1063/1.4945357Search in Google Scholar

[9] Pires D, Hedrick JL, Silva AD, et al. Nanoscale three-dimensional patterning of molecular resists by scanning probes. Science 2010;328:732–5.10.1126/science.1187851Search in Google Scholar PubMed

[10] Knoll AW, Pires D, Coulembier O, et al. Probe-based 3-D nanolithography using self-amplified depolymerization polymers Adv Mater 2010;22:3361–5.10.1002/adma.200904386Search in Google Scholar PubMed

[11] Holzner F, Paul P, Drechsler U, Despont M, Knoll AW, Duerig U. High density multi-level recording for archival data preservation. Appl Phys Lett 2011;99:023110.10.1063/1.3610490Search in Google Scholar

[12] Vailionis A, Gamaly EG, Mizeikis V, Yang W, Rode AV, Juodkazis S. Evidence of superdense aluminium synthesized by ultrafast microexplosion. Nat Comm 2011;2:445.10.1038/ncomms1449Search in Google Scholar

[13] Rapp L, Haberl B, Pickard C, et al. Experimental evidence of new tetragonal polymorphs of silicon formed through ultrafast laser-induced confined microexplosion. Nat Comm 2015;6. Article number: 7555.10.1038/ncomms8555Search in Google Scholar

[14] Chichkov BN, Momma C, Nolte S, Von Alvensleben F, Tünnermann A. Femtosecond, picosecond and nanosecond laser ablation of solids. Appl Phys A 1996;63:109–15.10.1007/BF01567637Search in Google Scholar

[15] Momma C, Chichkov BN, Nolte S, et al. Short-pulse laser ablation of solid targets. Opt Comm 1996;129:134–42.10.1016/0030-4018(96)00250-7Search in Google Scholar

[16] Juodkazis S, Misawa H, Louchev OA, Kitamura K. Femtosecond laser ablation of chalcogenide glass: explosive formation of nano-fibres against thermo-capillary growth of micro-spheres. Nanotechnology 2006;17:4802.10.1088/0957-4484/17/19/003Search in Google Scholar

[17] Deubel M, Von Freymann G, Wegener M, Pereira S, Busch K, Soukoulis CM. Direct laser writing of three-dimensional photonic-crystal templates for telecommunications. Nat Mater 2004;3:444–7.10.1038/nmat1155Search in Google Scholar PubMed

[18] Juodkazis S, Mizeikis V, Seet KK, Miwa M, Misawa H. Two-photon lithography of nanorods in su-8 photoresist. Nanotechnology 2005;16:846.10.1088/0957-4484/16/6/039Search in Google Scholar

[19] Cumpston BH, Ananthavel SP, Barlow S, et al. Two-photon polymerization initiators for three-dimensional optical data storage and microfabrication. Nature 1999;398:51–4.10.1038/17989Search in Google Scholar

[20] Stampfl J, Liska R, Ovsianikov A. Multiphoton Lithography: Techniques, Materials and Applications, Wiley-VCH Verlag GmbH & Co. KGaA, Weinheim, Germany, 2016.10.1002/9783527682676Search in Google Scholar

[21] Farsari M, Vamvakaki M, Chichkov BN. Multiphoton polymerization of hybrid materials. J Opt 2010;12:124001.10.1088/2040-8978/12/12/124001Search in Google Scholar

[22] Gan Z, Cao Y, Evans RA, Gu M. Three-dimensional deep sub-diffraction optical beam lithography with 9 nm feature size. Nat Comm 2013;4. Article number: 2061.10.1038/ncomms3061Search in Google Scholar

[23] Fischer J, Wegener M. Three-dimensional optical laser lithography beyond the diffraction limit. Laser Photonics Rev 2013;7:22–44.10.1002/lpor.201100046Search in Google Scholar

[24] Malinauskas M, Farsari M, Piskarskas A, Juodkazis S. Ultrafast laser nanostructuring of photopolymers: A decade of advances. Phys Rep 2013;533:1–31.10.1016/j.physrep.2013.07.005Search in Google Scholar

[25] Hossain MM, Gu M. Fabrication methods of 3D periodic metallic nano/microstructures for photonics applications. Laser Photonics Rev 2014;8:233–49.10.1002/lpor.201300052Search in Google Scholar

[26] Malinauskas M, Žukauskas A, Hasegawa S, et al. Ultrafast laser processing of materials: from science to industry. Light Sci Appl 2016;5:e16133.10.1038/lsa.2016.133Search in Google Scholar

[27] Chen Y-C, Salter PS, Knauer S, et al. Laser writing of coherent colour centres in diamond. Nat Photonics 2017;11:77–80.10.1038/nphoton.2016.234Search in Google Scholar

[28] Wang XW, Buividas R, Funabiki F, Stoddart PR, Hosono H. Analysis of defects patterned by femtosecond pulses inside KBr and SiO2 glass. Appl Phys A 2016;122:194–200.10.1007/s00339-016-9647-0Search in Google Scholar

[29] Buividas R, Aharonovich I, Seniutinas G, et al. Photoluminescence from voids created by femtosecond-laser pulses inside cubic-BN. Opt Lett 2015;40:5711–3.10.1364/OL.40.005711Search in Google Scholar

[30] Chang THP, Mankos M, Lee KY, Muray LP. Multiple electron-beam lithography. Microelectron Engg 2001;57:117–35.10.1016/S0167-9317(01)00528-7Search in Google Scholar

[31] Platzgummer E, Klein C, Loeschner H. Electron multibeam technology for mask and wafer writing at 0.1 nm address grid. Journal of Micro/Nanolithography, MEMS, and MOEMS 2013;12:031108.10.1117/1.JMM.12.3.031108Search in Google Scholar

[32] Egerton R, Li P, Malac M. Radiation damage in the TEM and SEM. Micron 2004;35:399–409.10.1016/j.micron.2004.02.003Search in Google Scholar

[33] Egerton RF, Wang F, Crozier PA. Beam-induced damage to thin specimens in an intense electron probe. Microsc Microanal 2006;12:65–71.10.1017/S1431927606060065Search in Google Scholar

[34] Jiang N. Electron beam damage in oxides: a review. Rep Prog Phys 2015;79:016501.10.1088/0034-4885/79/1/016501Search in Google Scholar

[35] Juodkazis S, Rosa L. Surface defect mediated electron hopping between nanoparticles separated by a nano-gap. Phys Status Solidi – Rapid Research Letters 2010;4:244–6.10.1002/pssr.201004226Search in Google Scholar

[36] Kretza J, Dreeskornfelda L. Process integration of 20 nm electron beam lithography and nanopatterning for ultimate MOSFET device fabrication. Microelectron Engg 2002;61–62;607–12.10.1016/S0167-9317(02)00434-3Search in Google Scholar

[37] Mitsuia Y, Sunaoshia T, Leeb JC. A study of electrical characteristic changes in MOSFET by electron beam irradiation. Microelectronics Reliability 2009;49; 1182–7.10.1016/j.microrel.2009.07.019Search in Google Scholar

[38] Childres I, Jauregui LA, Foxe M, et al. Effect of electron-beam irradiation on graphene field effect devices. Appl Phys Lett 2010;97:173109.10.1063/1.3502610Search in Google Scholar

[39] McCulloch AJ, Sparkes BM, Scholten RE. Cold electron sources using laser-cooled atoms. J Phys B At Mol Opt Phys 2016;49:164004.10.1088/0953-4075/49/16/164004Search in Google Scholar

[40] Thompson DJ, Murphy D, Speirs RW, et al. Suppression of emittance growth using a shaped cold atom electron and ion source. Phys Rev Lett 2016;117:193202.10.1103/PhysRevLett.117.193202Search in Google Scholar PubMed

[41] Grigorescu AE, van der Krogt MC, Hagen CW, Kruit P. Influence of the development process on ultimate resolution electron beam lithography, using ultrathin hydrogen silsesquioxane resist layers. J Vac Sci Technol 2007;25:1998–2003.10.1116/1.2794316Search in Google Scholar

[42] Manheller M, Trellenkamp S, Waser R, Karthäuser S. Reliable fabrication of 3 nm gaps between nanoelectrodes by electron-beam lithography. Nanotechnology 2012;23:125302.10.1088/0957-4484/23/12/125302Search in Google Scholar

[43] Potts PJ. Handbook of Silicate Rock Analysis. Routledge, Chapman, Hall Inc., Springer, Netherlands, 1992, p. 622.10.1007/978-1-4615-3270-5Search in Google Scholar

[44] Bru W, Kley E-B, Schnabel B, et al. Low energy lithography; energy control and variable energy exposure. Microelectron Engg 1995;27:135–8.10.1016/0167-9317(94)00073-4Search in Google Scholar

[45] Bonam R, Verhagen P, Munder A, Hartley J. Performance characterization of negative resists for sub-10-nm electron beam lithography. J Vac Sci Technol 2010;28:C6C34–40.10.1116/1.3517721Search in Google Scholar

[46] Chen Y. Nanofabrication by electron beam lithography and its applications: a review. Microelectron Engg 2015;135:57–72.10.1016/j.mee.2015.02.042Search in Google Scholar

[47] Kim S, Marelli B, Brenckle MA, et al. All-water-based electron-beam lithography using silk as a resist. Nat Nanotechnol 2014;9;306–10.10.1038/nnano.2014.47Search in Google Scholar PubMed

[48] Morikawa J, Ryu M, Balčytis A, et al. Silk fibroin as water-soluble bio-resist and its thermal properties. RSC Advances 2015;6:11863–9.10.1039/C5RA20201ASearch in Google Scholar

[49] Manfrinato VR, Zhang L, Su D, et al. Resolution limits of electron-beam lithography toward the atomic scale. Nano Lett 2013;13:1555–8.10.1021/nl304715pSearch in Google Scholar PubMed

[50] Werner S, Rehbein S, Guttmann P, Schneider G. Three-dimensional structured on-chip stacked zone plates for nanoscale x-ray imaging with high efficiency. Nano Res 2014;7:528–35.10.1007/s12274-014-0419-xSearch in Google Scholar

[51] Vila-Comamala J, Gorelick S, Guzenko VA, David C. 3D nanostructuring of hydrogen silsesquioxane resist by 100 keV electron beam lithography. J Vac Sci Technol 2011;29:06F301.10.1116/1.3629811Search in Google Scholar

[52] Jefimovs K, Vila-Comamala J, Pilvi T, Raabe J, Ritala M, David C. Zone-doubling technique to produce ultrahigh-resolution x-ray optics. Phys Rev Lett 2007;99:264801.10.1103/PhysRevLett.99.264801Search in Google Scholar PubMed

[53] Vila-Comamala J, Gorelick S, Färm E, et al. Ultra-high resolution zone-doubled diffractive X-ray optics for the multi-keV regime. Opt Express 2011;19:175–84.10.1364/OE.19.000175Search in Google Scholar PubMed

[54] Gorelick S, Guzenko VA, Vila-Comamala J, David C. Direct e-beam writing of dense and high aspect ratio nanostructures in thick layers of pmma for electroplating. Nanotechnology 2010;21:295303.10.1088/0957-4484/21/29/295303Search in Google Scholar PubMed

[55] Gorelick S, Vila-Comamala J, Guzenko VA, David C. High aspect ratio nanostructuring by high energy electrons and electroplating. Microelectron Engg 2011;88:2259–62.10.1016/j.mee.2011.02.094Search in Google Scholar

[56] Guzenko VA, Pedrini B, Menzel A, David C. Fabrication of nanoparticles with 3d shape control for x-ray scattering experiments. Microelectron Engg 2014;121:127–30.10.1016/j.mee.2014.04.035Search in Google Scholar

[57] Kouba J, Kubenz M, Mai A, Ropers G, Eberhardt W, Loechel B. Fabrication of nanoimprint stamps for photonic crystals. J Phys Conf Ser 2006;34:897.10.1088/1742-6596/34/1/149Search in Google Scholar

[58] Dobisz EA, Brandow SL, Bass R, Mitterender J. Effects of molecular properties on nanolithography in polymethyl methacrylate. J Vac Sci Technol 2000;18:107–11.10.1116/1.591242Search in Google Scholar

[59] Kim J, Joy D, Lee S-Y. Controlling resist thickness and etch depth for fabrication of 3D structures in electron-beam grayscale lithography. Microelectron Engg 2007;84:2859–64.10.1016/j.mee.2007.02.015Search in Google Scholar

[60] Lee S-Y, Anbumony K. Accurate control of remaining resist depth for nanoscale three-dimensional structures in electron-beam grayscale lithography. J Vac Sci Technol 2007;25:2008–12.10.1116/1.2781521Search in Google Scholar

[61] Piaszenski G, Barth U, Rudzinski A, et al. 3D structures for UV-NIL template fabrication with grayscale e-beam lithography. Microelectron Engg 2007;84:945–8.10.1016/j.mee.2007.01.015Search in Google Scholar

[62] Schleunitz A, Schift H. Fabrication of 3D nanoimprint stamps with continuous reliefs using dose-modulated electron beam lithography and thermal reflow. J Micromech Microeng 2010;20:095002.10.1088/0960-1317/20/9/095002Search in Google Scholar

[63] Qi M, Lidorikis E, Rakich PT, et al. A three-dimensional optical photonic crystal with designed point defects. Nature 2004;429:538–42.10.1038/nature02575Search in Google Scholar PubMed

[64] Subramania G, Lin S. Fabrication of three-dimensional photonic crystal with alignment based on electron beam lithography. Appl Phys Lett 2004;85:5037–9.10.1063/1.1825623Search in Google Scholar

[65] Utke I, Hoffmann P, Melngailis J. Gas-assisted focused electron beam and ion beam processing and fabrication. J Vac Sci Technol 2008;26:1197–276.10.1116/1.2955728Search in Google Scholar

[66] Randolph SJ, Fowlkes JD, Racka PD. Focused electron-beam-induced etching of silicon dioxide. J Appl Phys 2005;98:034902.10.1063/1.1991976Search in Google Scholar

[67] Bauerdick S, Linden A, Stampfer C, Helbling T, Hierold C. Direct wiring of carbon nanotubes for integration in nanoelectromechanical systems. J Vac Sci Technol 2006;24:3144–7.10.1116/1.2388965Search in Google Scholar

[68] Zacharias M, Subannajui K, Menzel A, Yang Y. ZnO nanowire arrays — pattern generation, growth and applications. Physica Status Solidi B 2010;247:2305–14.10.1002/pssb.201046399Search in Google Scholar

[69] Kim S, Kulkarni DD, Rykaczewski K, Henry M, Tsukruk VV, Fedorov AG. Fabrication of an UltraLow-Resistance Ohmic Contact to MWCNT—Metal Interconnect Using Graphitic Carbon by Electron Beam-Induced Deposition (EBID). IEEE Trans Nanotechnol 2012;11:1223–30.10.1109/TNANO.2012.2220377Search in Google Scholar

[70] Noh JH, Stanford MG, Lewis BB, Fowlkes JD, Plank H, Rack PD. Nanoscale electron beam-induced deposition and purification of ruthenium for extreme ultraviolet lithography mask repair. Appl Phys A 2014;117:1705–13.10.1007/s00339-014-8745-0Search in Google Scholar

[71] Fernandez-Pacheco A, Serrano-Ramon L, Michalik JM, et al. Three dimensional magnetic nanowires grown by focused electron-beam induced deposition. Sci Rep 2013;3. Article number: 1492.10.1038/srep01492Search in Google Scholar PubMed PubMed Central

[72] Fowlkes JD, Winkler R, Lewis BB, Stanford MG, Plank H, Rack PD. Simulation-guided 3D nanomanufacturing via focused electron beam induced deposition. ACS Nano 2016;10:6163–72.10.1021/acsnano.6b02108Search in Google Scholar PubMed

[73] Martin AA, Randolph S, Botman A, Toth M, Aharonovich I. Maskless milling of diamond by a focused oxygen ion beam. Sci Rep 2015;5. Article number: 8958.10.1038/srep08958Search in Google Scholar PubMed PubMed Central

[74] Martin AA, Bahm A, Bishop J, Aharonovich I, Toth M. Dynamic pattern formation in electron-beam-induced etching. Phys Rev Lett 2015;115:255501.10.1103/PhysRevLett.115.255501Search in Google Scholar PubMed

[75] Martin AA, Toth M, Aharonovich I. Subtractive 3D printing of optically active diamond structures. Sci Rep 2014;4. Article number: 5022.10.1038/srep05022Search in Google Scholar PubMed PubMed Central

[76] Elbadawi C, Toan TT, Kolíbal M, et al. Electron beam directed etching of hexagonal boron nitride. Nanoscale 2016;8:16182–6.10.1039/C6NR04959ASearch in Google Scholar

[77] Storm AJ, Chen JH, Ling XS, Zandbergen HW, Dekker C. Fabrication of solid-state nanopores with single-nanometre precision. Nat Mater 2003;2:537–40.10.1038/nmat941Search in Google Scholar PubMed

[78] Briosne-Frejaville C, Mau A, Balčytis A, Juodkazis S. Resizable nanopores. in Abstracts of Applied Nanotechnology and Nanoscience International Conference (ANNIC), Barselona, 9-11 Nov. 2016, 2016.Search in Google Scholar

[79] Chen X, Udalagama CNB, Chen C-B, et al. Whole-cell imaging at nanometer resolutions using fast and slow focused helium ions. Biophys J 2011;101:1788–93.10.1016/j.bpj.2011.08.028Search in Google Scholar PubMed PubMed Central

[80] Ward BW, Notte JA, Economou NP. Helium ion microscope: a new tool for nanoscale microscopy and metrology. J Vac Sci Technol 2006;24:2871–4.10.1116/1.2357967Search in Google Scholar

[81] Lemaitre MG, Tongay S, Wang X, et al. Low-temperature, site selective graphitization of SiC via ion implantation and pulsed laser annealing. Appl Phys Lett 2012;100:193105.10.1063/1.4707383Search in Google Scholar

[82] Seniutinas G, Balčytis A, Nishijima Y, Nadzeyka A, Bauerdick S, Juodkazis S. Ion beam lithography with gold and silicon ions. Appl Phys A 2016;122:383–8.10.1007/s00339-016-9866-4Search in Google Scholar

[83] Gervinskas G. Sensing platforms: nano-fabrication, characterization and integration. PhD thesis, Swinburne University of Technology, Melbourne, Australia, 2014.Search in Google Scholar

[84] Donald Winston SMN, Vitor Manfrinato R, Cheong LL, et al. Neon ion beam lithography (NIBL). Nano Lett 2011;11:4343–7.10.1021/nl202447nSearch in Google Scholar PubMed

[85] Balčytis A, Hakobyan D, Gabalis M, et al. Hybrid curved nano-structured micro-optical elements. Opt Express 2016;24:16988–98.10.1364/OE.24.016988Search in Google Scholar PubMed

[86] Juodkazis S, Rosa L, Bauerdick S, Peto L, El-Ganainy R, John S. Sculpturing of photonic crystals by ion beam lithography: towards complete photonic bandgap at visible wavelength. Opt Express 2011;19:5802–10.10.1364/OE.19.005802Search in Google Scholar PubMed

[87] Tamura T, Umetani M, Yamada K, et al. Fabrication of antireflective subwavelength structure on spherical glass surface using imprinting process. Appl Phys Express 2010;3:112501.10.1143/APEX.3.112501Search in Google Scholar

[88] Jin J, Luo J, Zhang X, et al. Open generation and detection of orbital angular momentum via metasurface. Sci. Rep 2016;6:24286.10.1038/srep24286Search in Google Scholar PubMed PubMed Central

[89] Seniutinas G, Gervinskas G, Anguita J, Hakobyan D, Brasselet E, Juodkazis S. Nano-proximity direct ion beam writing. Nanofabrication 2016;2:54–62.10.1515/nanofab-2015-0006Search in Google Scholar

[90] Gervinskas G, Seniutinas G, Rosa L, Juodkazis S. Arrays of arbitrarily shaped nanoparticles: overlay-errorless direct ion write. Adv Opt Mater 2013;1:456–9.10.1002/adom.201300027Search in Google Scholar

[91] Rosa L, Sun K, Szymanska J, et al. Tailoring spectral position and width of field enhancement by ion-beam trimming of plasmonic nanoparticles. Phys Status Solidi – Rapid Res Lett 2010;4:262–4.10.1002/pssr.201004239Search in Google Scholar

[92] Rosa L, Sun K, Mizeikis V, Bauerdick S, Peto L, Juodkazis S. 3D-tailored gold nanoparticles for light field enhancement and harvesting over visible-ir spectral range. J Phy Chem C 2011;115:5251–6.10.1021/jp109099mSearch in Google Scholar

[93] Wu C-L, Li F-C, Pao C-W, Srolovitz DJ. Folding sheets with ion beams. Nano Lett 2017;17:249–54.10.1021/acs.nanolett.6b03976Search in Google Scholar PubMed

[94] Park B, Jung K, Song W, O B-H, Ahn S. Bending of a carbon nanotube in vacuum using a focused ion beam. Adv Mater 2006;18:95–8.10.1002/adma.200501223Search in Google Scholar

[95] Gour N, Verma S. Bending of peptide nanotubes by focused electron and ion beams. Soft Matter 2009;5:1789–91.10.1039/b901228aSearch in Google Scholar

[96] Cui A, Liu Z, Li J, et al. Directly patterned substrate-free plasmonic nanograter structures with unusual fano resonances. Light Sci Appl 2015;4:e308.10.1038/lsa.2015.81Search in Google Scholar

[97] McKenzie W, Pethica J, Cross G. Focused ion beam as a directwrite mask tool for patterning diamond. Microsc Microanal 2009;15:328–9.10.1017/S1431927609096962Search in Google Scholar

[98] Hiscocks M, Ganesan K, McKenzie W, Gibson B, Ladouceur F, Prawer S. Towards characterisation of millimetre length waveguides and new fabrication method for nanoscale diamond photonic structures. Diamond Relat Mater 2011;20:556–9.10.1016/j.diamond.2011.02.013Search in Google Scholar

[99] Kuang P, Eyderman S, Hsieh M-L, Post A, John S, Lin S-Y. Achieving an accurate surface profile of a photonic crystal for near-unity solar absorption in a super thin-film architecture. ACS Nano 2016;10:6116–24.10.1021/acsnano.6b01875Search in Google Scholar PubMed

[100] Tongay S, Lemaitre M, Fridmann J, Hebard AF, Gila BP, Appleton BR. Drawing graphene nanoribbons on SiC by ion implantation. Appl Phys Lett 2012;100:073501.10.1063/1.3682479Search in Google Scholar

[101] Boyd GD, Coldren LA, Storz FG. Directional reactive ion etching at oblique angles. Appl Phys Lett 1980;36:583–5.10.1063/1.91554Search in Google Scholar

[102] Lee J-K, Lee S-H, Min J-H, Jang I-Y, Kim C-K, Moon SH. Oblique-directional plasma etching of Si using a Faraday cage. J Electrochem Soc 2009;156:D222–5.10.1149/1.3122623Search in Google Scholar

[103] Latawiec P, Burek MJ, Sohn Y-I, Lončar M. Faraday cage angled-etching of nanostructures in bulk dielectrics. J Vac Sci Technol 2016;34:041801.10.1116/1.4944854Search in Google Scholar

[104] Burek MJ, de Leon NP, Shields BJ, et al. Free-standing mechanical and photonic nanostructures in single-crystal diamond. Nano Lett 2012;12:6084–9.10.1021/nl302541eSearch in Google Scholar PubMed

[105] van Dorp WF, Hagen CW. A critical literature review of focused electron beam induced deposition. J. Appl Phys 2008;104:081301.10.1063/1.2977587Search in Google Scholar

[106] Alkemade PFA, Miro H. Focused helium ion-beam-induced deposition. Appl Phys A 2014;117:1727–47.10.1007/s00339-014-8763-ySearch in Google Scholar

[107] Alkemade PFA, Koster EM, Veldhoven EV, Maas DJ. Imaging and nanofabrication with the helium ion microscope of the Van Leeuwenhoek laboratory in Delft. Scanning 2012;34:90–100.10.1002/sca.21009Search in Google Scholar PubMed

[108] Peinado P, Sangiao S, Teresa JMD. Focused electron and ion beam induced deposition on flexible and transparent polycarbonate substrates. ACS Nano 2015;9:6139–46.10.1021/acsnano.5b01383Search in Google Scholar PubMed

[109] Harriott LR. Focused-ion-beam-induced gas etching. Jpn J Appl Phys 1994;33:7094–8.10.1143/JJAP.33.7094Search in Google Scholar

[110] Stanford MG, Mahady K, Lewis BB, et al. Laser-assisted focused he+ ion beam induced etching with and without XeF2 gas assist. ACS Appl Mater Interfaces 2016;8:29155–62.10.1021/acsami.6b09758Search in Google Scholar PubMed

[111] Chen X, Chen C-B, Udalagama CNB, et al. High-resolution 3D imaging and quantification of gold nanoparticles in a whole cell using scanning transmission ion microscopy. Biophys J 2013;104:1419–25.10.1016/j.bpj.2013.02.015Search in Google Scholar PubMed PubMed Central

[112] Bettiol AA, Mi Z, Vanga SK, Chen C-B, Tao Y, Watt F. Ion beam induced fluorescence imaging in biological systems. Nucl Instrum Methods Phys Res B 2015;348:131–6.10.1016/j.nimb.2014.11.120Search in Google Scholar

[113] Boden SA, Franklin TMW, Scipioni L, Bagnall DM, Rutt HN. Ionoluminescence in the Helium Ion Microscope. Microsc. Microanal. 2012;18:1253–62.10.1017/S1431927612013463Search in Google Scholar PubMed

[114] Mi Z, Zhang Y, Vanga SK, et al. Subwavelength imaging through ion-beam-induced upconversion. Nat Comm 2015;6:8832.10.1038/ncomms9832Search in Google Scholar PubMed PubMed Central

[115] Gervinskas G, Seniutinas G, Juodkazis S. Control of surface charge for high-fidelity nanostructuring of materials. Laser Photon Rev 2013;7:1049–53.10.1002/lpor.201300093Search in Google Scholar

[116] Dazzi A, Prater CB, Hu Q, Chase DB, Rabolt JF, Marcott C. AFM-IR: combining atomic force microscopy and infrared spectroscopy for nanoscale chemical characterization. Appl Spectrosc 2012;66:1365–84.10.1366/12-06804Search in Google Scholar PubMed

[117] Hillenbrand R, Taubner T, Keilmann F. Phononenhanced light - matter interaction at the nanometre scale. Nature 2002;418:159–62.10.1038/nature00899Search in Google Scholar PubMed

[118] Woessner A, Alonso-Gonzalez P, Lundeberg MB, et al. Near-field photocurrent nanoscopy on bare and encapsulated graphene. Nat Comm 2016;17:10783.10.1038/ncomms10783Search in Google Scholar PubMed PubMed Central

[119] Ni GX, Wang L, Goldflam MD, et al. Ultrafast optical switching of infrared plasmon polaritons in high-mobility graphene. Nat Photonics 2016;10:244–8.10.1038/nphoton.2016.45Search in Google Scholar

[120] Huber MA, Plank M, Eisele M, et al. Ultrafast mid-infrared nanoscopy of strained vanadium dioxide nanobeams. Nano Lett 2016;16:1421–7.10.1021/acs.nanolett.5b04988Search in Google Scholar PubMed

[121] Zenin VA, Andryieuski A, Malureanu R, et al. Boosting local field enhancement by on-chip nanofocusing and impedance-matched plasmonic antennas. Nano Lett 2015;15:8271–6.10.1021/acs.nanolett.5b03593Search in Google Scholar PubMed

[122] Khanikaev AB, Arju N, Fan Z, et al. Experimental demonstration of the microscopic origin of circular dichroism in two-dimensional metamaterials. Nat Comm 2016;7:12045.10.1038/ncomms12045Search in Google Scholar PubMed PubMed Central

[123] Fei Z, Goldflam MD, Wu J-S, et al. Edge and surface plasmons in graphene nanoribbons. Nano Lett 2015;15:8271–6.10.1021/acs.nanolett.5b03834Search in Google Scholar PubMed

[124] Yoshioka K, Katayama I, Minami Y, et al. Real-space coherent manipulation of electrons in a single tunnel junction by single-cycle terahertz electric fields. Nat Photonics 2016;10:762–5.10.1038/nphoton.2016.205Search in Google Scholar

[125] Guo J, Bian K, Lin Z, Jiang Y. Perspective: Structure and dynamics of water at surfaces probed by scanning tunneling microscopy and spectroscopy. J Chem Phys 2016;145:160901.10.1063/1.4964668Search in Google Scholar PubMed

[126] Zhang MDSERSB, Gardner DF, Kapteyn HC, Murnane MM, Adams DE. High contrast 3D imaging of surfaces near the wavelength limit using tabletop EUV ptychography. Ultramicroscopy 2015;158:98–104.10.1016/j.ultramic.2015.07.006Search in Google Scholar PubMed

[127] Shorokhov D, Zewail AH. Perspective: 4D ultrafast electron microscopy—evolutions and revolutions. J Chem Phys 2016;144:080901.10.1063/1.4941375Search in Google Scholar PubMed

[128] Garcia R, Knoll AW, Riedo E. Advanced scanning probe lithography. Nat Nanotechnol 2014;9: 577–87.10.1038/nnano.2014.157Search in Google Scholar PubMed

[129] Rawlings C, Wolf H, Hedrick JL, Coady DJ, Dürig UT, Knoll AW. Accurate location and manipulation of nanoscaled objects buried under spin-coated films. ACS Nano 2015;9: 6188–95.10.1021/acsnano.5b01485Search in Google Scholar PubMed

[130] Wolf H, Rawlings C, Mensch P, et al. Sub-20 nm silicon patterning and metal lift-off using thermal scanning probe lithography. J Vac Sci Technol 2015;33:02B102.10.1116/1.4901413Search in Google Scholar

[131] Cheong LL, Paul P, Holzner F, et al. Thermal probe maskless lithography for 27.5 nm half-pitch si technology. Nano Lett 2013;13:4485–91.10.1021/nl4024066Search in Google Scholar PubMed

[132] Paul PC, Knoll AW, Holzner F, Despont M, Dürig U. Rapid turnaround scanning probe nanolithography. Nanotechnology 2011;22:275306.10.1088/0957-4484/22/27/275306Search in Google Scholar PubMed

[133] Paul P, Knoll AW, Holzner F, Dürig U. Field stitching in thermal probe lithography by means of surface roughness correlation. Nanotechnology 2012;23:385307.10.1088/0957-4484/23/38/385307Search in Google Scholar PubMed

Received: 2017-1-17
Revised: 2017-3-27
Accepted: 2017-4-17
Published Online: 2017-6-17

© 2017, Gediminas Seniutinas, Saulius Juodkazis et al., published by De Gruyter.

This work is licensed under the Creative Commons Attribution-NonCommercial-NoDerivatives 3.0 License.

Downloaded on 25.4.2024 from https://www.degruyter.com/document/doi/10.1515/nanoph-2017-0008/html
Scroll to top button