skip to main content
research-article

A study of asynchronous design methodology for robust CMOS-nano hybrid system design

Published:28 August 2009Publication History
Skip Abstract Section

Abstract

Among the emerging alternatives to CMOS, molecular electronics based diode-resistor crossbar fabric has generated considerable interest in recent times. Logic circuit design with future nano-scale molecular devices using dense and regular crossbar fabrics is promising in terms of integration density, performance and power dissipation. However, circuit design using molecular switches involve some major challenges: 1) lack of voltage gain of these switches that prevents logic cascading; 2) large output voltage level degradation; 3) vulnerability to parameter variations that affect yield and robustness of operation; and 4) high defect rate. In this article, we analyze some of the above challenges and investigate the effectiveness of asynchronous design methodology in a hybrid system design platform using molecular crossbar and CMOS interfacing elements. We explore different approaches of asynchronous circuit design and compare their suitability in terms of several circuit design parameters. We then develop the methodology and an automated synthesis flow to support two different asynchronous design approaches (Micropipelines and Four phase Dual-rail) for system designs using nano-crossbar logic stages and CMOS interface data-storage elements. Circuit-level simulation results for several benchmarks show considerable advantage in terms of performance and robustness at moderate area and power overhead compared to two different synchronous implementations.

References

  1. Bachtold, A., Hadley, P., Nakanishi, T., and Dekkerdagger, C. 2001. Logic circuits with carbon nanotube transistors. Science 294, 2, 1317--1320.Google ScholarGoogle ScholarCross RefCross Ref
  2. Borghetti, J., Li, Z., Straznicky, J., Stewart, D., Li, X., Ohlberg, D., Wu, W., and Williams, S. 2008. An integrated nanocrossbar/MOSFET logic circuit: Demonstration of self-programming hardware. In Proceedings of the Spring Meeting of the Materials Research Society. ACM, New York, 96--104.Google ScholarGoogle Scholar
  3. Chakraborty, R. S., Paul, S. and Bhunia, S. 2008. Analysis and robust design of diode-resistor based nanoscale crossbar PLA circuits. In Proceedings of the 21st International Conference on VLSI Design (VLSID'08). IEEE Computer Society, Los Alamitos, CA, 441--446. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Chatterjee, A. and Roy, K. 2003. Performance estimation of molecular crossbar architecture considering capacitive and inductive coupling between interconnects. In Proceedings of the 3rd IEEE Conference on Nanotechnology. 445--448.Google ScholarGoogle Scholar
  5. Chen, Y., Ohlberg, D. A., Li, X., Stewart, D. R., Williams, R. S., Jeppesen, J. O., Nielsen, K. A., Stoddart, J. F., Olynick, D. L., and Anderson, E. 2003. Nanoscale molecular-switch devices fabricated by imprint lithography. Appl. Phys. Lett. 82, 10, 1601--1612.Google ScholarGoogle ScholarCross RefCross Ref
  6. Cortadella, J., Kishinevsky, M., Kondratyev, A., Lavagno, L., and Yakovlev, A. 2002. Logic Synthesis of Asynchronous Controllers and Interfaces. Springer Series in Advanced Microelectronics.Google ScholarGoogle Scholar
  7. Dean, M., Dill, D. L., and Horowitz, M. 1991. Self-timed logic using current-sensing completion detection. In Proceedings of the IEEE International Conference on Computer Design. 187--191. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. DeHon, A. 2003. Array-based architecture for FET-based, nanoscale electronics. IEEE Trans. Nanotech. 2, 1, 23--32. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Goldstein, S. C. and Budiu, M. 2001. NanoFabrics: Spatial computing using molecular electronics. In Proceedings of the 28th Annual International Symposium on Computer Architecture. ACM, New York, 178--189. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. He, C., Jacome, M. F., and de Veciana, G. 2005. Scalable defect mapping and configuration of memory-based nanofabrics. In Proceedings of the 10th Annual IEEE International High-Level Design Validation and Test Workshop (HLDVT'05). IEEE Computer Society, Los Alamitos, CA, 11--18. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Hogg, T. and Snider, G. S. 2007. Defect-tolerant logic with nanoscale crossbar circuit. J. Electron. Test. Theor. Appl. 23, 2--3, 117--129. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Huang, J., Tahoori, M. B., and Lombardi, F. 2004. On the defect tolerance of nano-scale two-dimensional crossbars. In Proceedings of the 19th IEEE International Symposium on Defect and Fault Tolerance of VLSI Systems. ACM, New York, 96--104. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Huang, Y., Duan, X., Cui, Y., Lauhon, L. J., Kim. K., and Lieber, C. M. 2001. Logic gates and computation from assembled nanowire building blocks. Science 294, 2, 1313--1317.Google ScholarGoogle ScholarCross RefCross Ref
  14. ITRS. 2005. International technology roadmap for semiconductors (ITRS). http://public.itrs.net.Google ScholarGoogle Scholar
  15. Josephs, M. B., Nowick, S. M., and van Berkel, C. H. 1999. Modeling and design of asynchronous circuits. Proc. IEEE 87, 2, 234--242.Google ScholarGoogle ScholarCross RefCross Ref
  16. Karypis, G., Aggarwal, R., Kumar, V., and Shekhar, S. 1999. Multilevel hypergraph partitioning: Applications in VLSI domain. IEEE Trans. VLSI 7, 1, 69--79. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Kuekes, P. J., Stewart, D. R., and Williams, R. S. 2005. The crossbar latch: Logic value storage, restoration, and inversion in crossbar circuits. J. Appl. Phys. 97, 3, 034301--034301-5.Google ScholarGoogle ScholarCross RefCross Ref
  18. Liu, X., Lee, C., and Zhou, C. 2001. Carbon nanotube field-effect inverters. Appl. Phys. Lett. 79, 20, 3329--3331.Google ScholarGoogle ScholarCross RefCross Ref
  19. Melosh, N. A., Boukai, A., Diana, F., Gerardot, B., Badolato, A., Petroff, P. M., and Heath, J. R. 1998. Ultrahigh-density nanowire lattices and circuits. Science 280, 5, 1716--1721.Google ScholarGoogle Scholar
  20. Naeoscale Integration and Modeling Group. 2007. The predictive technology model. Anzona State University. http://www.eas.asu.edu/ptm/.Google ScholarGoogle Scholar
  21. Nikolic, K., Sadek, A. and Forshaw, M. 2002. Fault-tolerant techniques for nanocomputers. Nanotech. 13, 2--3, 357--362.Google ScholarGoogle ScholarCross RefCross Ref
  22. Rabaey, J. M., Chandrakasan, A. and Nikolic, B. 2003. Digital Integrated Circuits: A Design Perspective (2nd Edition). Prentice Hall, Reading, MA. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. Rudell, R. L. and Sangiovanni-Vincentelli, A. 1987. Multiple-valued minimization for PLA optimization. IEEE Trans. CAD Integr. Circ. Syst. 6, 727--750.Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Snider, G. S. and Williams, R. S. 2007. Nano/CMOS architecture using a field programmable nanowire interconnect. Nanotech. 18.Google ScholarGoogle Scholar
  25. Snider, G. S., Kuekes, P., and Williams, R. S. 2004. CMOS-like logic in defective, nanoscale crossbar. Nanotech. 15, 8, 881--891.Google ScholarGoogle ScholarCross RefCross Ref
  26. Snider, G., Kuekes, P., Hogg, T., and Williams, R. S. 2005. Nanoelectronic architectures. Appl. Phys. A 80, 6, 1183--1195.Google ScholarGoogle ScholarCross RefCross Ref
  27. Sparsø, J. and Furber, S. 2001. Principles of Asynchronous Circuit Design—A Systems Perspective. Kluwer Academic Publishers, Reading, MA. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. Strukov, D. B. and Likharev, K. 2007. CMOL FPGA: A reconfigurable architecture for hybrid digital circuits with two-terminal nanodevices. Nanotech. 16, 888--900.Google ScholarGoogle ScholarCross RefCross Ref
  29. Sutherland, I. E. 1989. Micropipelines. Comm. ACM 32, 6, 720--738. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. Tour, J. M., Van Zandt, W. L., Husband, C. P., Husband, S. M., Wilson L. S., Franzon, P. D., and Nackashi, D. P. 2002. Nanocell logic gates for molecular computing. IEEE Trans. Nanotech. 1, 2, 100--109. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. Zieglar, M. M. and Stan, M. R. 2003. CMOS/Nano co-design for crossbar-based molecular electronic systems, IEEE Trans. Nanotech. 2, 4, 217--229. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. A study of asynchronous design methodology for robust CMOS-nano hybrid system design

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in

      Full Access

      • Published in

        cover image ACM Journal on Emerging Technologies in Computing Systems
        ACM Journal on Emerging Technologies in Computing Systems  Volume 5, Issue 3
        August 2009
        98 pages
        ISSN:1550-4832
        EISSN:1550-4840
        DOI:10.1145/1568485
        Issue’s Table of Contents

        Copyright © 2009 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 28 August 2009
        • Accepted: 1 November 2008
        • Revised: 1 October 2008
        • Received: 1 June 2008
        Published in jetc Volume 5, Issue 3

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article
        • Research
        • Refereed

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader