Defect evolution and dopant activation in laser annealed Si and Ge

https://doi.org/10.1016/j.mssp.2015.09.011Get rights and content

Abstract

Defect evolution and dopant activation are intimately related to the use of ion implantation and annealing, traditionally used to dope semiconductors during device fabrication. Ultra-fast laser thermal annealing (LTA) is one of the most promising solutions for the achievement of abrupt and highly doped junctions. In this paper, we report some recent investigations focused on this annealing method, with particular emphasis on the investigation of the formation and evolution of implant/anneal induced defects and their impact on dopant activation. In the case of laser annealed Silicon, we show that laser anneal favours the formation of “unconventional” (001) loops that, following non-melt anneals, act as carrier scattering centres, leading to carrier mobility degradation. In contrast, in the case of melt anneals, the molten region itself is of excellent crystalline quality, defect-free and with very high activation rates. As for laser annealed Germanium, we studied in detail the amorphous to crystalline Ge phase transition as a function of the increasing LTA energy density and we found that using LTA, very high carrier concentrations (above 1020 cm−3) were achieved in As doped regions, which are unachievable with conventional rapid thermal annealing (RTA) processes.

Introduction

During the last decade, the miniaturisation of planar “bulk Si” MOSFETs (Metal-Oxide-Semiconductor Field Effect Transistors) through the “traditional” geometrical scaling approach has become extremely difficult, due to the uncontrolled increase of short channel effects (and consequently of power consumption) [1]. Several solutions were developed to mitigate such effects (high-k/metal gate, strain engineering, ultra-thin Silicon-on-Insulator (SOI) substrates, 3D nano-fabrication…), which could be eventually combined into one technology process. As a consequence, a considerable diversification of the possible device architectures for future nodes occurred: today, the most advanced MOS device architectures range from (still) planar Fully-Depleted (FD)-SOI transistors, to 3D multigate FinFETs or gate-all-around nanowire (NW)-FETs (cf. Fig. 1). Doping requirements of source/drain (S/D) regions may therefore differ depending on the specific device architecture.

In modern FD-SOI technology, the doping of the raised source/drain (RSD, or High-Doped Drain, HDD) is achieved in situ during the growth of the RSD layer, while the use of ion implantation for S/D extension doping (or Low-Doped Drain, LDD) is a major technological challenge, considering the need to achieve a controlled lateral diffusion/abruptness, with limited damage in a nanometric layer [2], [3]. Additionally, novel approaches based on ion implantation have been proposed for the control of the “extrinsic” resistance components of the S/D module (silicide, Schottky barrier height and contact metal). These include the use of ultra-low energy implants to increase the dopant concentration at the semiconductor/silicide interface [4] or the segregation of implanted dopants to lower the Schottky Barrier height [5], [6].

Additional critical issues need to be considered for 3D FinFETs. One is the reduction of damage formation during annealing, which is impossible to avoid when amorphisation occurs during the implant [7]. To this respect, high-temperature “hot” implants [8] have been proposed to reduce damage accumulation during the implant. The second is conformal doping [9]. In fact, conventional beamline implants can only be performed using low-angle tilted beams due to fin/photo resist shadowing [10]. Plasma immersion ion implantation [11], [12] or other plasma-related doping methods [13], [14] are the most promising candidates to improve conformality in fin doping [15]. Finally, it is important to note that dopant conformality is a critical issue also for CMOS imagers, where deep trench isolation between neighbour MOS cells is achieved by forming shallow doped junctions at the surfaces of the trenches [16].

Nanowire-based field effect transistors (NW-FETs) are among the most promising solutions to overcome the limits of today’s electronic devices, especially for their ability to implement gate-all-around architectures [17]. NW doping can be achieved in-situ during growth [18] or ex-situ following several methods (diffusion [19], molecular doping [20], [21], ion implantation [22]), However, the efficiency of each one of these methods critically depends on several parameters and physical phenomena [23], [24], including NW material, size or surface passivation. As a consequence, while alternative doping-free S/D fabrication schemes based on the implementation of “Schottky contacts” are being developed [25], reliable and systematic studies of ion implantation-doped NWs are still lacking. Indeed, opposite results show either a reduced amount of structural disorder in implanted Si-NWs compared to bulk Si [26] or an “unrecoverable” amorphisation damage during annealing [7].

A crucial step in the fabrication of S/D doped region is the thermal anneal required for damage recovery and dopant activation. In parallel to the evolution of device architectures, thermal annealing has also evolved during the last decade towards shorter cycles combined with higher temperatures, so to combine controllable diffusion with high activation [27]. Currently used “spike” Rapid Thermal Anneals (RTA), with ramping rates in the order of 250 °C/s, are expected to be replaced with even faster methods operating in the millisecond or nanosecond scale. In particular, the recent advances in nanosecond laser annealing have opened the way to solve a wide spectrum of difficult challenges in semiconductor technology, well beyond the S/D fabrication issues. Indeed, thanks to the low thermal budget of a laser pulse (with duration in the nanosecond regime), laser annealing is very attractive not only for the achievement of abrupt junctions [28], [29], [30], but more generally for all semiconductor technologies in which dopants need to be activated while preserving the integrity of the surrounding areas, as in the case of thin film displays [31], high‐frequency bipolar silicon‐on‐glass processes [32], CMOS backside imagers [33], [34] or 3D integration schemes [35], [36], for which localised laser annealing is a promising alternative to low temperature (<600 °C) Solid Phase Epitaxy processes [37].

However, several issues still need to be investigated to achieve a thorough understanding of the underlying physics, improve the predictive modelling of dopant redistribution during laser process and ultimately optimise the process conditions. They range from the non‐uniform absorption of the radiation up to the kinetically limited segregation of dopants and intrinsic point defects during liquid‐phase epitaxy. A particularly important challenge is the role of residual damage on the activation of dopants, its evolution during laser processing and its stability during post‐implantation annealing at lower temperatures.

In this paper, we will focus on damage related issues, by presenting some recent investigations focused on damage evolution and its impact on dopant distribution and electrical activation during nanosecond laser thermal annealing of ion implanted group IV semiconductors. Section 2 will concern Si, while recent results on Germanium will be reported in Section 3.

Section snippets

Silicon

In this section, we report our recent investigations [38], [39], [40] on the formation of extended defects and their impact on dopant activation during nanosecond laser thermal annealing in non-melt, partial melt and full melt conditions of Boron implanted silicon. These results show that, in the case of non-melt anneals, the resulting large defects can act as scattering centres, leading to a degradation of carrier mobility. In contrast, in the case of melt anneal conditions, it is shown that

Germanium

Nowadays, there is a renewed interest in the use of Ge for advanced CMOS technology because of its superior electrical characteristics and lower temperature processes compared to Si, as well as its compatibility with the well-established silicon technology. Indeed, the interest in using Germanium to replace Si as a high-mobility channel material was triggered in the early 2000s by the successful implementation of high-k dielectrics to replace SiO2 in Si MOS [48], [49]. Since then, the

Conclusions

During the last decade, the miniaturisation of planar “bulk Si” MOS transistors through the purely geometrical scaling approach has reached its limits. This has resulted in a considerable diversification of the possible device architectures for future technology nodes. Planar FD-SOI transistors, 3D multigate FinFETs and gate-all-around nanowire FETs are briefly reviewed in terms of the doping requirements related to the fabrication of source/drain (S/D) regions. The growing interest in the use

Acknowledgements

The research activity on laser annealed Silicon (Section 2) received funding from the European Union Seventh Framework Programme (FP7/2007-2013) under grant agreement no. 258547 (ATEMOX). The research activity on laser annealed Germanium (Section 3) was supported in part by the Higher Education Authority Programme for Research in Third-Level Institutions in Ireland under grant agreement no. "HEA PRTLI5".

References (56)

  • H. Iwai

    Microelectron. Eng.

    (2009)
  • R.A. Puglisi et al.

    Solar Energy Mat. & Solar Cells

    (2015)
  • C. Ronning

    Mater. Sci. Eng. R

    (2010)
  • E.V. Monakhov et al.

    Mater. Sci. Eng. B

    (2004)
  • M. Hackenberg et al.

    Appl. Surf. Sci.

    (2012)
  • B.H. Lee et al.

    Mater. Today

    (2006)
  • C. Claeys et al.

    Mater. Sci. Eng. B

    (2008)
  • M. Wihl et al.

    J. Non-Cryst. Solids

    (1972)
  • M. Vinet, et al. Proceedings of the VLSI-TSA Meeting,...
  • L. Hutin, et al., Proceedings of the IEEE International Workshop on Junction Technology, S7-04,...
  • B. Colombeau

    Phys. Status Solidi A

    (2014)
  • G. Larrieu, et al., in: Proceedings of the International Electron Devices Meeting’07, 2007, pp....
  • F.A. Khaja, et al., Proceedings of the AIP Conference on IIT, 1496, 2012, p....
  • R. Duffy et al.

    Appl. Phys. Lett.

    (2007)
  • M. Togo, et al., IEEE VLSI Conference 2013, Paper 14–2, p....
  • W. Vandervorst, et al., Proceedings of the AIP Conference Proceedings on IIT , 1066, 2008, p....
  • N. Horiguchi, et al., Proceedings of the IEEE International Workshop on Junction Technology, I3-2,...
  • J. Duchaine, et al., Proceedings of the AIP Conference on IIT, 1496, 2012, p....
  • F. Gonzatti, F. Milési, V. Delaye, J. Duchaine, F. Torregrosa, H. Etienne, K. Yckache, in: J. Matsuo et al. (Ed.),...
  • Y. Sasaki

    J. Appl. Phys.

    (2012)
  • J.W. Lee

    Appl. Phys. Lett.

    (2013)
  • G. Zschatzsch, et al., IEEE IEDM Technical Digest, 2011, p....
  • F. Roy

    Phys. Status Solidi C

    (2014)
  • J. Appenzeller et al.

    IEEE Trans. Electron Dev.

    (2008)
  • D.E. Perea

    Nat. Nanotechnol.

    (2009)
  • E.C. Garnett

    Nat. Nanotechnol.

    (2009)
  • J.C. Ho

    Nano Lett.

    (2009)
  • W. Paschoal

    Nano Lett.

    (2012)
  • Cited by (35)

    • Combined techniques for recovery of radiation damaged detectors

      2023, Materials Science in Semiconductor Processing
    • XAS study of defect characterization in oxide semiconductors

      2023, Defect-Induced Magnetism in Oxide Semiconductors
    • Top-down integration of suspended N<sup>+</sup>/P/N<sup>+</sup> silicon-nanowire-based ion-sensitive field effect transistors for pH analysis at the submicronic scale

      2023, Thin Solid Films
      Citation Excerpt :

      In this context, aluminium oxide Al2O3 was emphasized due to high dielectric constant (εr ≈ 10), good interfacial properties, high chemical and thermal stabilities at high temperature (< 750°C) and excellent insulation/passivation properties in water-based solutions [12]. In the frame of Source/Drain engineering, several technological solutions were proposed to decrease the P/N junction size [13,14]. Nevertheless, with scaling down, the concept of junctionless transistors (JLT) was brought up to date [15,16].

    • Continuum modeling and TCAD simulations of laser-related phenomena in CMOS applications

      2021, Laser Annealing Processes in Semiconductor Technology: Theory, Modeling and Applications in Nanoelectronics
    View all citing articles on Scopus
    1

    Now with IMEC, Kapeldreef 75, 3001 Leuven, Belgium.

    View full text